Home
News
Products
Corporate
Contact
 
Friday, April 26, 2024

News
Industry News
Publications
CST News
Help/Support
Software
Tester FAQs
Industry News

Rising demand for chips at mature nodes cause shortfall of mask equipment


Friday, October 19, 2018

Rising demand for chips at mature nodes is impacting the photomask supply chain, causing huge demand for trailing-edge masks and a shortfall of older mask equipment.

The big issue is the equipment shortfall, which could impact customers on several fronts. Tool shortages could lead to longer mask turnaround times and delivery schedules for chips being developed at 90nm and above, which are built in 200mm fabs. It also could impact chips at legacy nodes in 300mm fabs.

In response, many photomask equipment vendors have developed or are working on tools for mature nodes to meet demand, but it’s unclear if the industry can respond in time. It’s also hard to predict how long the boom cycle will last for mature nodes.

Regardless, demand for mature nodes is causing stress throughout the supply chain today. For example, growing demand for analog, MEMS and RF chips continues to cause shortages for both 200mm fab capacity and front-end equipment.

This is having a trickle-down effect in the photomask industry. A photomask is required to make a chip. In the flow, a chipmaker designs an IC, which is translated from a file format into a mask. The mask is a master template for an IC design. Inside the fab, the mask is placed in a lithography scanner. Light is then projected through the mask and used to pattern images on a wafer.

A photomask vendor makes the mask in a production facility using a variety of equipment. Today, mask makers see strong demand for all nodes, and mask equipment vendors generally are keeping up with high-end demand. But it’s a different story for mature nodes.

“The expansion we are seeing right now is everywhere. It’s 300mm. It’s 200mm. It’s 14nm and 180nm,” said Franklin Kalk, executive vice president of technology at Toppan Photomasks, a supplier of photomasks, at a recent event. “One of the things that we didn’t contemplate in the past was the resurgence of legacy products. 200mm fabs build legacy products. That’s anything 90nm and above. The problem is that the industry is really structured to respond and chase the high end. We are not really prepared to cope with this mature resurgence.”

Based on a projected forecast through 2022, Kalk sees a shortfall of older mask writers and repair systems. Etchers and metrology tools are in the same boat, although the situation is better for mask inspection. In many cases, spare parts are hard to find.

And in some instances, the original OEM won’t support older tools or the vendor went out of business. In that case, the mask vendor itself must support the tool.

By: DocMemory
Copyright © 2023 CST, Inc. All Rights Reserved

CST Inc. Memory Tester DDR Tester
Copyright © 1994 - 2023 CST, Inc. All Rights Reserved