Home
News
Products
Corporate
Contact
 
Saturday, September 7, 2024

News
Industry News
Publications
CST News
Help/Support
Software
Tester FAQs
Industry News

TSMC’s A16 Process Moves Goalposts in Tech-Leadership Game


Friday, May 31, 2024

Taiwan Semiconductor Manufacturing Co. (TSMC) has changed the tech leadership game with the announcement of its latest A16 chipmaking process, which analysts say may be a leap ahead of Intel’s 18A node. Analysts also told EE Times that it is unclear which company will win the process-tech championship.

The world’s leading chip foundry in April announced plans to roll out its newest process A16 chips by 2026. That process includes advanced packaging and 3D IC technologies expected to power AI innovations from TSMC’s top customers like Nvidia and AMD.

A16, for the first time, will combine TSMC’s Super Power Rail architecture with nanosheet transistors. The company expects logic density and performance to improve by dedicating front-side routing resources to signals, making A16 ideal for high-performance computing (HPC) products with complex signal routes and dense power delivery networks. The A16 node, compared with TSMC’s 2-nm process, will provide 8-10% speed improvement, 15-20% power reduction at the same speed and up to 1.10× chip density improvement for datacenter products, according to the company.

Intel and TSMC are aiming for dominance with proprietary tech for packaging and backside power delivery. Each company has its advantages, according to Jim McGregor, principal analyst at TIRIAS Research.

“Comparing the processes between TSMC and Intel has been apples to oranges,” he told EE Times. “Intel has always been more aggressive on density, and until the EUV [extreme ultraviolet] transition, Intel was often the first to adopt new technology. So, it appears that we are back to the status quo, which should give Intel an advantage. It is challenging to compare the two unless you have the same product being produced on each process.”

Intel is the world’s first company to adopt high-NA EUV tools from lithography leader ASML to make the next generation of chips at the 18A node and beyond. TSMC has so far decided that high-NA EUV is not part of the production roadmap. The two chipmakers are gambling that one is wrong.

“TSMC isn’t adopting high-NA EUV because multi-patterning with regular EUV is more cost effective,” Dylan Patel, chief analyst at SemiAnalysis, told EE Times.

Power and packaging

TSMC and Intel offer a chipmaking portfolio that includes advanced manufacturing processes, packaging and power delivery.

“At 16A, TSMC will be adding backside power. So, TSMC should be on par with Intel in that respect. However, Intel is pretty far down this road with other packaging innovations, such as glass substrates,” McGregor said. “In terms of packaging, Intel continues pushing the technology boundaries and is a clear industry leader.”

The rival chipmakers are in the early laps of a race, according to Dan Hutcheson, vice chair at TechInsights.

“TSMC’s new A16 process certainly moves the goalposts out for everyone trying to catch them,” Hutcheson told EE Times. “Leadership is theirs to lose.”

The Taiwanese foundry is in a strong position to stay at the top, according to Patel.

“TSMC with their A16 process is racing ahead of Intel’s 18A with a more advanced form of backside power delivery, with backside contacts instead of power via,” he told EE Times. “This would enable further density scaling and efficiency gains. It is a super-competitive battle of Intel and TSMC leapfrogging each other.”

The rivals appear to be lobbing confusing names like A16 and 18A in a war of words, according to Tirias principal analyst Kevin Krewell.

“TSMC’s naming of the node ‘A16’ may be more of a marketing dig at Intel’s 18A node than a real size advantage,” he told EE Times. “TSMC has traditionally been conservative in process transition, so splitting the ribbonFET (aka gate all around, nanosheet) in the N2 node from backside power in A16 is par for the course. Adding backside power is important to get the most out of ribbonFET, so I expect many TSMC customers will quickly adopt A16. TSMC expects quick adoption by HPC and AI applications.”

It’s still early days on who wins the tech-leadership title, Krewell added.

“TSMC claims a technical advantage in their approach to backside power with a direct gate contact, and the backside power does not run through a TSV (through silicon via) to the M0 metal layer and then connect to the gate. What TSMC didn’t show was an actual transistor cross-section, but only a crude graphic representation.”

TSMC and Tesla

Parting with its past tradition, TSMC revealed some of its biggest customers like AI giant Nvidia and Tesla during the A16 announcement. TSMC claimed a world’s first with the production of a system-on-wafer (SoW) for Tesla, which will use the silicon in its latest data centers. TSMC customers range from Apple to Xilinx.

Intel, which entered the foundry business a few years ago, is more reticent about its customers.

In its first-quarter 2024 results announcement, Intel said it continues to drive customer adoption of 18A, with a commitment from a “major U.S. aerospace and defense customer,” bringing Intel Foundry’s external customer commitments on Intel 18A to six. Intel noted that Microsoft also announced plans to design a chip on 18A. Smartphone and AI chip designer MediaTek, which relies on TSMC as its main chip supplier, has said it will be an Intel Foundry customer.

Taiwan

TSMC is certain to roll out the A16 process in Taiwan, years before it transfers the tech to any of its new fabs in the U.S. or Japan, according to the analysts. As a result, Taiwan is likely to remain the supplier of the world’s most advanced chips.

“You start the process at a process development fab and then transition it to a high-volume line,” Krewell said. “It will be easiest to do that near the development fab, which is in Taiwan. How quickly it comes to the U.S. may depend on the U.S. government’s demands. The government, just like the industry, needs state-of-the-art chips for AI, communications and other applications. I’m sure that there will be some requirements by the U.S. government for additional funding to produce advanced chips in the new fabs being built in Arizona.”

The Pentagon may have a choice. Intel and TSMC are opening new fabs almost next door to each other in Phoenix.

By: DocMemory
Copyright © 2023 CST, Inc. All Rights Reserved

CST Inc. Memory Tester DDR Tester
Copyright © 1994 - 2023 CST, Inc. All Rights Reserved